--! @file --! @brief Test VHDL File --! IEEE standard library library ieee; --! IEEE Standard Logic Package use ieee.std_logic_1164.all; --! IEEE numeric standard package use ieee.numeric_std.all; --! @brief Test Package --! @details this package contains different constants and declarations to test doxygen's VHDL support package test_pack is constant test1 : std_logic_vector(0 to 7) := "01011111"; --! Test constant 1 constant test2 : std_logic_vector(0 to 7) := x"AB"; --! Test constant 2 constant test3 : std_logic_vector(0 to 7) := "0101"; --! Test constant with constant test4 : std_logic_vector(0 to 7) := "0101XXXX"; --! Test constant with xx constant foobar : integer := 1; --!< indicates foobar --! Test record type rTest is record member1 : std_logic_vector(0 to 7); --! Record member 1 member2 : std_logic; --! Record member 2 member3 : std_logic_vector; --! Record member 3 member4: integer; --! Record member 4 end record; --! Test Record 2 type rTest2 is record member1 : std_logic_vector(0 to 7); --! mem1 member2 : std_logic; member3 : std_logic_vector; member4: integer; end record; type rTest3 is record member1 : std_logic_vector(0 to 7); member2 : std_logic; member3 : std_logic_vector; member4: integer; end record; end package;